新思科技數位與客製化設計平臺獲臺積公司3奈米制程技術認證

新思科技(Synopsys)近日宣佈,針對臺積電最先進3奈米制程技術,新思科技的數位與客製化解決方案已通過臺積公司最新設計參考流程(design-rule manual,DRM)及製程設計套件(process design kits)的認證。植基於多年來的廣泛合作關係,該認證將提供共同優化工具、流程和方法,使客戶能實現該製程所帶來的最大功耗效能和麪積(PPA)表現,進而加速新一代高效能運算(HPC)、行動、5G和AI 晶片設計的創新。臺積電設計建構管理處總經理Suk Lee表示,臺積電的先進技術需要全新層次的EDA協作與創新,以實現3奈米制程技術的高效能和低功耗目標。我們與新思科技的長期合作有助於加速客戶取得臺積公司最新制程所提供的優勢,並讓臺積電最新流程所帶來的效益達到極大化。我們雙方持續密切合作,爲HPC、行動、5G和AI應用實現新一代的設計。新思科技具高度整合的融合設計平臺(Fusion Design Platform)是此次雙方在先進節點合作成功的關鍵,爲臺積電的3奈米技術提供了全流程設計收斂(convergence)和緊密的籤核相關性(signoff correlation)。新思科技的Fusion Compiler以及IC Compiler ll佈局繞線(place-and-route)產品採用新的全域(global)和詳細(detail)繞線技術創新,實現時序結果品質(quality-of-results,QoR)的優化。全流程總功耗(total-power)優化的提升,輔以合法化與最佳化並行(concurrent-legalization-and-optimization)技術,能實現所需的總功耗分佈並達成整體優化 PPA 的設計指標。針對3奈米制程的合作內容,其他的實作技術也包括:支援具備着色(coloring)和通路銅柱(via-pillar)考量的先進佈線,以及創新的正反器(flip-flop)優化,有助於着重效能和低功耗的設計。此外,Design Compiler NXT合成(synthesis)產品是融合設計平臺的關鍵元素,經強化後,藉由與IC Compiler II更緊密的時序相關性,能提供更爲收斂的設計流程,讓所有以N3製程爲目標的設計皆受益。新思科技與臺積電就3奈米制程的合作內容還包括PrimeTime對低電壓變動的支援,以及支援臺積公司的佈局(placement)規則,能在實作和籤核期間實現收斂的ECO收斂。新思科技的 PrimePower支援3奈米實體規則(physical rule)的功耗籤核,包括漏電功耗和動態功耗以及StarRC萃取建模的強化,能帶來所需的準確性。新思科技數位設計事業羣總經理Shankar Krishnamoorthy表示,臺積電與新思科技密切合作,一同突破設計可實現的極限並加速新技術製程的進程,讓整個產業生態系和雙方客戶皆受惠。雙方就3奈米技術在數位和客製化R&D的最新合作,將技術創新帶到全新層次以克服製程的挑戰,從而爲共同客戶帶來新的機會,使其能及時規劃先進的產品。